Minor patch to the AR7 diag.sh to make the status LED a bit more useful. From the patch:

This setup gives us 3.5 distinguishable states:

- Solid OFF:  Bootloader running, or kernel hung (timer task stalled)
- Solid ON:   Kernel hung (timer task stalled)
- 5Hz blink:  preinit
- Heartbeat:  normal operation

Signed-off-by: oliver@opencloud.com


git-svn-id: svn://svn.openwrt.org/openwrt/trunk@9666 3c298f89-4303-0410-b956-a3cf2f4a3e73
master
Felix Fietkau 2007-12-07 00:03:14 +00:00
parent 1f8d93f04a
commit f2f72a33c1
1 changed files with 20 additions and 13 deletions

View File

@ -1,19 +1,26 @@
#!/bin/sh #!/bin/sh
# Copyright (C) 2007 OpenWrt.org # Copyright (C) 2007 OpenWrt.org
set_led() { # This setup gives us 3.5 distinguishable states:
local led="$1" #
local state="$2" # Solid OFF: Bootloader running, or kernel hung (timer task stalled)
[ -d "/sys/class/leds/$led" ] && echo "$state" > "/sys/class/leds/$led/brightness" # Solid ON: Kernel hung (timer task stalled)
} # 5Hz blink: preinit
# Heartbeat: normal operation
set_state() { set_state() {
case "$1" in case "$1" in
preinit) preinit)
set_led status 255 [ -d /sys/class/leds/status ] && {
echo timer >/sys/class/leds/status/trigger
echo 100 >/sys/class/leds/status/delay_on
echo 100 >/sys/class/leds/status/delay_off
}
;; ;;
done) done)
set_led status 0 [ -d /sys/class/leds/status ] && {
echo heartbeat >/sys/class/leds/status/trigger
}
;; ;;
esac esac
} }